Wafer fab equipment manufacturers’ net revenue increased to a record $120 billion.
The top five suppliers’ systems and service revenue increased to a record $95 billion.
The WFE market’s total revenue is expected to decline 10% YoY in 2023.
EUV lithography outlook remains strong despite weaker WFE outlook.
Weakness in wafer fab equipment spending in 2023 will drive lead time normalization.
San Jose, Buenos Aires, London, New Delhi, Hong Kong, Beijing, Seoul – June 12, 2023
Wafer fab equipment (WFE) manufacturers’ revenue increased 9% YoY to a record $120 billion in 2022 despite the macroeconomic slowdown, currency fluctuations, component shortages and logistics disruptions. The increase was due to continued strength in investments by customers for both leading and mature node devices across segments, including IoT, AI, HPC, automotive and 5G. The top five suppliers’ systems and service revenue increased to a record $95 billion.
The WFE market’s revenue is expected to decline 10% YoY in 2023 to $108.45 billion after three consecutive years of growth. Despite a weaker WFE backdrop for 2023, the EUV lithography outlook remains strong due to the continued penetration of EUV into memory and logic, and foundries ramping up production of 3nm process nodes by applying Gate-All-Around transistor and FinFET architectures with increased EUV technology adoption.
Associate Director Dale Gai said, “During the past six months, TSMC has pushed out new capacities in 7/6nm and 5/4nm in the light of weaker market demand, while the capital spending on 3nm remains nearly the same as it planned at the beginning of 2023.”
Commenting on the WFE market, Senior Analyst Ashwath Rao said, “The size of the WFE market in US dollar terms contracted by more than 8% in 2022 due to the impact of currency fluctuations, especially depreciation in the yen and euro-denominated sales since the beginning of 2022. Increased R&D spending in 2022 ahead of the inflection positions the WFE market to outperform the semiconductor market in the long term as these new technologies transition to volume manufacturing.”
Commenting on the market dynamics playing out in 2023, Rao said, “Manufacturers are more skewed towards foundry-logic segments today unlike in 2019, and with overall backlog strength, increased visibility in terms of long-term agreements and subscription model will help limit the downside. The weakness in wafer fab equipment spending in 2023 will drive lead time and inventory normalization. The slowdown in memory-oriented investments will begin to recover gradually starting in the second half of 2023, and 2024 will be a big year for the equipment industry. Manufacturers are well positioned to take advantage of the opportunity.”
Background
Counterpoint Technology Market Research is a global research firm specializing in products in the technology, media and telecom (TMT) industry. It services major technology and financial firms with a mix of monthly reports, customized projects and detailed analyses of the mobile and technology markets. Its key analysts are seasoned experts in the high-tech industry.
Semiconductor equipment maker Applied Materials has reported strong earnings for FY 2022 (ended October 30). Total sales revenue increased 12% YoY to $25.78 billion despite supply chain shortages, geopolitical and macroeconomic headwinds, and softening consumer demand.
The company’s uniquely enabling technology and growing installed base will be its key growth drivers as chipmakers accelerate ramping up of new process nodes in R&D for high-volume manufacturing.
Financial highlights
Semiconductor Systems revenue increased 15% YoY in FY 2022 to $18,797 million on account of strong orders as customers continued to invest in next-generation technology.
Applied Materials’ service revenue increased 11% YoY in FY 2022 to $5,543 million, accounting for 21% of the annual net revenue.
Display and Adjacent Markets revenue decreased 19% YoY in FY 2022 to $ 1,331 million.
Non-GAAP gross margin was at 46.6% in FY 2022.
Non-GAAP operating profit grew by over 7% to $7.86 billion.
Non-GAAP EPS increased nearly 13% to $7.70.
Total ending backlog increased 62% to $19 billion with Semiconductor Systems backlog increasing 90% to nearly $12.7 billion and services backlog increasing 30% to over $5.6 billion.
The company generated about $5.4 billion in operating cash flow and over $4.6 billion in free cash flow.
The company’s installed base grew 8% YoY in FY 2022.
The number of tools under comprehensive, long-term service contracts grew 16% YoY with the over 90% renewal rate for these agreements demonstrating the value customers see in subscription services.
New export regulations for US semiconductor technology sold in China reduced Semiconductor Systems and AGS fourth quarter revenue by approximately $280 million.
Source: Company, Counterpoint Research
Applied Materials sees stable revenue growth from subscription services
Applied Global Services (AGS) is a business segment with recurring revenue growth, which will give Applied Materials resilience through business cycles in the future.
Applied Global Services’ growing installed base and service intensity will be key growth drivers as customers will keep spending to maintain their tools in optimal condition.
Key developments in FY 2022
Applied Materials acquired Picosun, a Finland-based innovator in atomic layer deposition (ALD) technology.
This acquisition broadens Applied’s product portfolio and puts it in a great position to capture a large portion of the specialty semiconductor market in the coming years.
Collaboration with the Institute of Microelectronics (IME), a research institute under Singapore’s Agency for Science, Technology and Research (A*STAR).
IME’s strategic R&D capabilities complement well Applied’s expertise in advanced packaging solutions and will accelerate material, equipment and process technology solutions for hybrid bonding and other emerging, 3D chip integration technologies.
Introduced new Ioniq™ PVD system to solve wiring resistance challenges of 2D scaling.
This new integrated solution offers a significant reduction in electrical resistance, which has become a critical bottleneck to further improvements in chip performance and power.
These acquisitions, collaborations and developments will further help Applied Materials secure technology and price competitiveness, and strengthen its manufacturing capacity to address demand through the development of derivative processes.
Business outlook
Net sales revenue is expected to be between $6.30 billion and $7.10 billion in Q1.
Mixed demand seen for the ICAPS, chips for IoT, communications, automotive, power and sensor markets.
The company said the unmitigated impact of the new export regulations for US semiconductor technology sold in China on the FY 2023 revenues would be around $2.5 billion. However, the impact could be reduced to $1.5-$2 billion depending on how investments are refocused and how quickly the government provides licenses and approvals.
Key takeaways
Applied’s business will be more resilient on account of the large backlog and strong customer demand for products that enable key technology inflections, especially in next-generation wiring, interconnect layers and advanced packaging.
Key collaborations and acquisitions broaden Applied’s product portfolio and put it in a great position to capture a large portion of the advanced and specialty semiconductor market in the coming years.
The company’s uniquely enabling technology, growing installed base and service intensity will be its key growth drivers in the long term.
The comprehensive and in-depth analysis on “Applied Materials FY 2022 Earnings Report” can be accessed from the link (Click here).
Lam Research Reports Record Revenue for Q2 2022 Despite Supply Chain Constraints
Lam Research a global supplier of innovative wafer fabrication equipment has reported a record Q2 2022 ahead of its guidance range, thanks to strong spending in foundry/logic outgrowing NAND and DRAM investments. Net revenue stood at a record $4.6 billion, an increase of 12% YoY.
Lam’s revolutionary product platform, primarily driven by systems innovation and installed base along with globally diverse manufacturing and stronger and deeper customer collaborations, will help generate revenue growth in the long term.
Q2 update
Record revenue of $4.6 billion and EPS of $8.83 well ahead of guidance ranges, thanks to strong execution and supply chain actions driving improvement in a supply-constrained environment.
Revenue from Customer Support Business Group (includes business from spares, services, upgrades and Reliant category) reached a record $1.63 billion, an increase of 18% YoY. Most of the growth came from spares and Reliant business as customers’ fab utilization levels were high and investment in specialty market areas such as RF and power devices increased.
Revenue from the foundry segment accounted for 26% of total systems revenue on account of broad-based spending by customers across both leading and specialty node devices.
Logic and other segments also witnessed record performance at 20% of systems revenue due to demand in the market for microprocessors, analog components, image sensors and advanced packaging solutions.
In terms of revenue by region, China contributed 31%, South Korea 24% and Taiwan 19%. Technology shipment restrictions on China had the least impact on Q2 revenue due to minimal shipments of process tools for fabs operating below 14 nm nodes.
Increased spending in foundry/logic will continue due to the ramping up of activities in advanced nodes. Any restrictions on the sale of equipment crucial for the adoption of EUV in high-volume manufacturing will impact revenue growth in the long term.
Source: Lam Research Earnings,Counterpoint Research Wafer Fab Equipment TrackerSource: Lam Research Earnings,Counterpoint Research Wafer Fab Equipment Tracker
Q3 guidance
Revenue to be between $4.6 billion and $5.2 billion.
Gross margin to be between 44% and 46%.
EPS to be in the range of $8.75-$10.25.
Development in the quarter
Lam has pioneered a new deposition technology called SPARC for depositing ultra-thin layers of silicon carbide films with the required robustness to survive downstream processing.
SPARC has enabled performance and technology scaling of advanced logic and DRAM by combining Lam’s unique plasma capability with chemistry and process engineering. With the advent of gate-all-around (GAA) architecture, SPARC technology will find application in extensive use cases in the future.
Opportunities
Lam’s innovative product offerings in providing solutions to the challenges in the adoption of 5G and Wi-Fi 6/6E wireless technologies and dry resist technology will help capture a significant amount of market share. Key technology inflections and collaborations provide huge opportunities for Lam in the long term.
Key technology inflections
5G and Wi-Fi 6/6E:
5G offers greater bandwidth, faster connectivity and lower latency by utilizing a greater portion of radio frequencies in the wireless spectrum. Ensuring the coexistence of 5G and newer-generation Wi-Fi 6/6E for seamless wireless connectivity is extremely challenging due to the technical demands on the RF filters that allow signals in the band to be separated.
One of the critical steps in RF filter manufacturing is depositing and etching Sc-doped layer with high throughput. Lam has acquired Solmates, a pioneer in the focused deposition of AlScN films with best-in-class Sc doping levels and film properties. The acquisition will complement Lam’s expertise in high-quality film deposition, high etch rate and selectivity with high productivity.
RF filters combined with other devices such as RF switches, low-noise amplifiers, power amplifiers and antenna tuners form complex RF module solutions and are fabricated using RF-CMOS (complementary metal oxide semiconductor) or RF-SOI (silicon on insulator) technologies. These devices have specific fabrication schemes that allow the integration of capacitor and inductors into the back end of line (BEOL) essential for the efficient operation of the devices at high frequencies.
These additional integration BEOL steps provide a huge opportunity for Lam’s deposition and etching systems and will enable chipmakers to achieve higher frequencies and bandwidth required to support the coexistence of 5G and Wi-Fi 6/6E.
Dry resist technology:
This technology was first developed by Lam in collaboration with ASML and IMEC to extend the resolution, productivity and yield in EUV lithography, addressing key challenges associated with the creation of DRAM and logic technologies. Dry resist development technology will help Lam increase its service addressable market in the multi-billion-dollar photoresist equipment industry in the future.
The resist plays a critical role in the lithography process and ideally should have high resolution, low line edge roughness and high sensitivity. The use of traditional spin-on liquid resists in patterning results in image blur as chipmakers move to advanced technology nodes, reducing resolution and increasing line edge roughness, adversely affecting the performance of the device.
Fundamentally, spin-on liquid resists have limitations in terms of viscosity and surface adhesion, leading to undesirable trade-offs in lithography performance. Dry resist technology involves dry deposition of small metalorganic units, providing unique ability to change the resist thickness simply by changing the deposition and development time, overcoming concerns over viscosity, chemistry shelf life and other limitations that can affect spin-on resists.
Lam’s dry resist and development expertise matured over the years will accelerate the industry transition to EUV lithography’s future node applications and enable continued scaling for advanced logic and memory devices through precise, low-defect and lower-cost patterning. The dry resist approach will also provide significant running cost savings to customers along with delivering a more sustainable solution for environmental, social and governance (ESG) measures.
Collaborations
Lam and SK Hynix:
SK Hynix will use Lam’s dry resist underlayer and dry development processes for advanced DRAM patterning. As DRAM continues to scale, innovations in EUV patterning are critical for delivering the performance needed for today’s increasingly connected devices at a lower cost. The cooperation with SK Hynix along with ecosystem partners will help remove the barriers associated with scaling with EUV lithography.
Lam, Gelest and Entegris:
Lam’s collaboration with Gelest and Entegris will advance the EUV dry resist technology ecosystem for future device generations of logic and DRAM products.
The collaboration is vital for EUV dry resist technology integration into high-volume manufacturing and will provide:
Dual-source supply from semiconductor material leaders.
Ensure a robust supply chain for process chemicals critical to EUV adoption.
Broaden the growing ecosystem for dry resist technology.
Reduce the impact of supply chain disruptions in the future.
The partnership will also accelerate the development of future cost-effective EUV dry resist solutions for high numerical aperture (high-NA) EUV patterning, widely seen as the patterning technology that will be required for continued device scaling and advancement of semiconductor technology over the coming decades.
Key takeaways
Collaborations with ecosystem players will alleviate the impact of supply chain disruptions in the future.
Lam’s dry resist and development technology will accelerate the industry transition to EUV lithography’s future node applications.
Lam’s long-term growth looks strong due to its differentiated etch and deposition equipment portfolio for manufacturing higher-performance and more scalable device architectures.
Shipment restrictions on China had the least impact on Q2 revenue due to minimal shipments of process tools for fabs operating below 14 nm nodes.
ASML has delivered a strong Q2 2022 ahead of its guidance with record quarterly orders. Net sales increased by 35% YoY to €5.4 billion driven by increased EUV shipments, which accounted for 48% of the net systems sales during the quarter.
However, the company outlook for 2022 has been lowered to around 10% YoY growth on account of deferred revenue recognition due to the adoption of a fast shipment strategy. Challenges will persist in the near term amid supply chain constraints and inflationary pressures. But strong demand in high-performance computing (HPC), automotive and IoT will enhance ASML’s growth prospects in the long term.
Q2 2022 KPIs
Net sales of €5.4 billion ahead of guidance, thanks to deferred revenue recognition from six EUV systems’ fast shipments in Q1 2022.
Net systems sales at €4.1 billion, an increase of 40% YoY with EUV accounting for 48% share.
Service and field option sales at €1.3 billion.
Shipped 12 EUV systems, an increase of 33% YoY.
Gross margin of 49.1% at the lower end of the guidance due to inflationary effects.
Record quarterly net bookings of €8.5 billion. €5.4 billion in EUV orders including High-NA, thanks to customer demand in both advanced and mature nodes.
Record total order book of €33 billion at the end of the quarter – 85% for advanced semiconductor manufacturing, including High-end immersion and EUV, and 15% for mature technology needed for advanced production.
Source: ASML Earnings, Counterpoint Research Wafer Fab Equipment Tracker
Q2 Analysis
Net system sales by end-use had logic taking 71% and memory taking 29%. Increased shipments to logic attributed to focus by foundries on ramping up 3nm process nodes.
Gross margin to remain under pressure in the near term due to supply chain challenges and inflationary pressure on labor, freight and parts.
High utilization rates of machines that are in the installed base will help ASML’s growth prospects despite demand slowing in the PC and smartphone markets in the near term.
ASML has started integration and initial testing of first High-NA mechanical projection optics and illuminator along with the new wafer stage received from suppliers.
On the DUV business side, it shipped the first NXT KrF system –TWINSCAN NXT:870 – with increased throughput capability, much needed for responding to the industry’s demand for KrF tools and wafer output.
In the applications business, the company completed the first eScan1100 multi-beam system installation at a customer site.
The company will revisit its medium-term forecast and guidance on growth opportunities beyond 2025 on “Capital markets day” on November 11, 2022.
Source: ASML Earnings, Counterpoint Research Wafer Fab Equipment Tracker
Regional Performance
Taiwan took 41% and South Korea took 33% share of the equipment shipments in Q2 2022 driven by the ramping up of activities on advanced technology nodes and adoption of EUV in high-volume manufacturing by foundries to shorten ramp times, improve device performance and yield, and optimize factory output and operating costs.
Restrictions on the supply of DUV machines used in mature nodes in addition to EUV systems led to a fall in shipments to China in Q2. However, increased shipments to Taiwan and South Korea helped boost net sales.
China is a major player in the semiconductor value chain and any restriction on DUV systems’ sales, which find application in mature nodes, will affect Chinese chip makers’ expansion plans, further aggravating the component shortage crisis.
Outlook for 2022
Q3 net sales are projected to be between €5.1 billion and €5.4 billion.
Full-year revenue growth projection lowered to €20.5 billion on account of an increased number of fast shipments assuming priority due to supply chain disruptions and delaying of revenue recognition to 2023. Fast shipments reduce the cycle time by carrying out acceptance tests at the customer end to output more systems. ASML has been adopting this strategy from the beginning of 2022 to overcome issues arising out of supply chain constraints.
Gross margin to be between 49% and 50% due to extra costs related to output capacity increase and unexpected inflationary trends.
55 EUV systems to be shipped in 2022 with revenue recognition for only 40 systems in 2022 and for the remaining 15 in 2023.
Key Takeaways
Increased shipments to Taiwan and South Korea attributed to ramping up of activities on advanced technology nodes.
Foundries ramping up production of 3nm process nodes by applying Gate-All-Around transistor and FinFET architectures and using EUV technology will help ASML improve its share in the wafer fab equipment market.
Fall in shipments to China due to restrictions on the supply of DUV machines will aggravate the component shortage crisis.
COVID-19, along with the rise of advanced capabilities such as 5G, AI and imaging technologies, has catalyzed the semiconductor demand for the last over two years. Monitoring the contribution of upstream players in the semiconductor value chain, which are actually building technologies and capacities, has become extremely important.
TSMC is a great benchmark for the health of the semiconductor industry considering it manufactures 70% of all key smartphone chipsets. The company posted record earnings in Q2 2022 with growing advanced semiconductor content in processing (AI, GPU, SoC) and connectivity (5G) being the key factors.
Key financial highlights:
Net revenue increased 37% YoY to $18.2 billion driven by high-performance computing (HPC), IoT and automotive-related demand.
Gross margin and operating margin were at 59.1% and 49.1% respectively, up 3.5 percentage points on a favorable foreign exchange rate, cost improvement and value selling.
From the geographical perspective, North America accounted for the highest share (64%) of total net revenue.
TSMC wafer revenues share
By application
Smartphones and HPC represented 38% and 43% of net revenues respectively, while IoT, Automotive, Digital Consumer Electronics (DCE) and Others represented 8%, 5%, 3% and 3% respectively.
HPC surpassed Smartphones in revenues thanks to Nvidia, Intel, AMD and others.
TSMC’s reliance on Apple, Qualcomm and Mediatek was lesser as HPC surpassed Smartphones in revenue contribution.
Automotive semiconductor content was the dark horse.
By node
5nm process technology contributed 21% of total wafer revenues in Q2 2022 while 7nm accounted for 30%.
Combined revenue from advanced process nodes with 5nm and 7nm accounted for 51% of total wafer revenues, thanks to growing capex, making it very difficult for current and potential competition to catch up at least in next 10 years.
Double-digit growth was seen in matured nodes thanks to the rising need for chipsets in the IoT and automotive.
N2 and N3 updates
N2 node will implement the platform scaling concept wherein benefits of power delivery schemes, advanced packaging and chiplet will be utilized to control cost and have an overall advantage.
N3 node will be the longest node to be used before migrating to N2 due to the introduction of TSMC FINFLEX architectural innovation, which offers flexibility to customers to create designs precisely tuned for their needs with functional blocks implementing the best-optimized fin configuration and integrated into the same chip.
The introduction of 3nm nodes will begin in H2 2022 and adoption by customers and revenue contribution will start in Q1 2023. The introduction of 3nm nodes will lower the gross margin by 2%-3% in 2023.
While the capex is growing, some of it will be spread out over quarters with the WFE vendors struggling with backlogs as building fab equipment also requires semiconductors! This will help TSMC realize healthy margins for the coming quarters and offset any gross margin decline due to N3 introductions.
Key takeaways
TSMC’s net revenue will cross $75 billion in 2022, which means it will surpass Intel’s revenues.
HPC will drive TSMC’s revenue growth in the long term and achieve a 15%-20% CAGR.
3D IC design solution System on Integrated Chips (SoIC) will account for a significant share of revenue in the long term due to its extensive application in HPC.
Efforts to resolve tool delivery schedule challenges in advanced and mature nodes through discussions with entire supply chain partners remains a top priority.
Growing silicon content, shipments and ASP will drive revenue growth in the long term.
Inventory adjustment will continue till Q1 2023 and ease off by H2 2023. However, long-term semiconductor demand will be firm.
New Delhi, London, Hong Kong, Beijing, San Diego, Denver, Seoul, Buenos Aires – June 2, 2022
Global Wafer Fab Equipment (WFE) manufacturers’ revenue will grow 18% in 2022 to exceed $129 billion, according to Counterpoint Research’s Wafer Fab Equipment Revenue Tracker. Critical technology transformations, increasing device and manufacturing complexity, aggressive investments in higher semiconductor performance and active investments in production capacity expansion will drive WFE spending in 2022. The chip shortage will continue to be a concern for the WFE supply chain in 2022.
WFE market outlook looks impressive
Continuous efforts by foundries to increase wafer output, reduce defects and improve yield.
Demand exceeding supply.
Increase in wafer-processing steps to produce diverse and complex applications.
WFE spending limited by supply in 2021, with unmet demand pushed to 2022 and beyond.
Long-term secular growth drivers including rising semiconductor content and increasing device complexity remain intact.
Commenting on the WFE market, Senior Analyst Ashwath Rao said, “Healthy WFE spending outlook, strong semiconductor demand across segments, rising capital intensity and innovative product portfolio will drive Top 5 WFE manufacturers’ revenue past $100 billion in 2022”. At the same time, the availability of components going into WFE subsystems has been hampered due to supply chain disruptions, thereby increasing the equipment lead time and delaying deliveries. This will impact revenue growth in the first half.
WFE Market: 2018 – 2021
WFE revenue in 2021 reached a record high of $110 billion, an increase of 33% YoY, driven by strength across device segments NAND, DRAM and Foundry/Logic.
Service revenue of the Top 5 suppliers’ increased 29% YoY to $22.2 billion.
In 2020, growth across all segments of the market led by NAND recovery, Foundry/Logic expansion and a slight increase in DRAM helped achieve overall revenue growth of $83 billion, an increase of 17% YoY.
In 2019, digestion of capacity additions by memory customers in a weaker demand environment and acceleration in ramping of new leading-edge nodes by logic customers led to a decrease in growth.
WFE Market: 2022 Outlook
2022 revenues are expected to grow 18% YoY, with the Top 5 WFE suppliers’ revenue surpassing $100 billion.
Top 5 suppliers’ systems revenue will surpass $75 billion, an increase of 18% YoY, and service revenue will be at $27 billion, an increase of 24% YoY.
Strong growth in services is expected to continue in 2022, mainly driven by a rapidly growing installed base, increasing complexity of systems, tighter time-to-market requirements for customers, and expansion of service opportunities at trailing edge nodes.
Investment in R&D and increasing capacity both at customers and equipment manufacturers will remain a top priority in 2022.
Rao said, “A huge order book, record backlog and demand exceeding capacity will enable equipment manufacturers to expand their capacity to address the shortages and generate higher revenue growth”. A gradual recovery in growth will be observed in the second half of 2022 despite supply chain disruptions due to COVID-19 and heightened geopolitical conflicts. But the impact of cost increase due to labor, components from suppliers and freight charges will lower the gross margin in 2022. Trends such as export regulations between regions will also require close monitoring. Therefore, Q2 growth is expected to be muted.
Priority to manage supply chain constraints in partnership with suppliers and chipmakers will help meet current and future demand by
Actively adding and improving capacity.
Assigning engineering resources to improve supply chain flexibility.
Advancing ecosystem collaboration to fight challenges.
Meeting device performance and cost targets.
Background
Counterpoint Technology Market Research is a global research firm specializing in products in the TMT (technology, media and telecom) industry. It services major technology and financial firms with a mix of monthly reports, customized projects and detailed analyses of the mobile and technology markets. Its key analysts are seasoned experts in the high-tech industry.
ASML has reported Q1 2022 net sales at the higher end of its guidance. Outlook for the long term is positive due to leading-edge EUV systems and their dominance across the entire product line for older lithography systems, especially DUV, the workhorse of the semiconductor industry in mature nodes.
Q1 2022 update:
Installed base as a percentage of net sales highest for any quarter.
Net sales of €3.5 billion. Gross margin of 49% as guided.
Net bookings at €7 billion, an increase of 47% YoY. €2.5 billion in EUV orders, including High-NA, and DUV at €4.5 billion.
Net system sales at €2.3 billion.
Installed base business (service and field option sales) at €1.2 billion.
Installed base business as a percentage of net sales at 35%, an increase of 7% YoY, the highest in any quarter.
Shipped nine EUV systems, an increase of 28% YoY.
Higher ASP for EUV systems.
Lower net sales as revenue shift of six EUV systems to subsequent quarter due to fast shipments.
Total order book of €29 billion at the end of the quarter.
Breakdown: Net system sales
Region-wise: Taiwan 22%, South Korea 29%, China 34%
Net sales between €5.1 billion and €5.3 billion, including installed base sales of around €1.2 billion.
Gross margin 49%-50% due to higher volume both for DUV and EUV, offset by cost pressure and lower ASP for EUV going ahead.
Full year:
Full-year revenue with around 20% YoY growth will enhance ASML’s prospects of becoming the top wafer fab equipment supplier.
DUV, metrology and inspection markets expected to grow faster.
Gross margin to be 54% in the second half due to the volume driven by both EUV and DUV systems in addition to increased gross margin on installed base revenue.
Products and businesses
EUV revenue for the year will go up about 25%.
Expect over 20% YoY increase in non-EUV business revenue driven by immersion, dry and metrology systems.
Memory and installed business will be up around 25% and 10% respectively.
Logic business will be up more than 20%.
Developments in Q1 2022
On the commercial side, received multiple orders for High-NA EXE:5200 (EUV 0.55 NA), ASML’s next model High-NA systems, and from three logic and two memory customers.
On the technology side, integration of the first EUV High-NA system in the new fab at Veldhoven progressing well.
In applications business, shipped first eScan460 system, which is a next-generation single-beam inspection system with higher resolution and 50% faster throughput than eScan430.
Announcement to watch out
Capital markets day in the second half of 2022 to revisit medium-term forecast and guidance on growth opportunities beyond 2025.
Impact of increased costs on 2022 margins
An impact of 1% on gross margin is expected for the full year due to cost increases owing to
Labor cost associated with adding and training staff and strong competition for talent.
Component price increases from suppliers and incremental cost from service fees to secure parts that are in short supply and high in demand.
Freight cost going substantially up due to increased fuel prices.
Key takeaways
Highest ASP for EUV systems in the quarter.
Received multiple orders for High-NA EXE:5200 systems from both logic and memory customers.
Increased adoption of EUV in high-volume manufacturing will enable ASML to meet its long-term forecast.
Record order book of €29 billion and fast shipments will help ASML deliver over 20% YoY net sales revenue growth in 2022 and further enhance ASML’s prospects of becoming the top wafer fab equipment supplier.
Global secular trends in the electronics industry, like the Internet of Things (IoT), 5G, innovation in edge and cloud, are expected to continue to fuel growth across the semiconductor and wafer fab equipment markets. Applied Materials is a leading manufacturer of wafer fab equipment. With a broad portfolio of products and technologies to accelerate the new PPACt (Power, Performance, Area, Cost and Time-to-Market) playbook and strategic investments in global infrastructure, Applied Materials is expected to surpass its long-term growth forecast.
Record Quarterly Revenues in Q1FY2022
Highest ever quarterly revenues in Q1 2022 (quarter ended January 30, 2022).
Orders for the quarter at an all-time high, beating the previous record by half a billion dollars.
Revenue and EPS in the upper end of the guidance range.
Record order backlog.
Record quarterly revenue in Process Control, Chemical Vapor Deposition (CVD) and Chemical Mechanical Planarization.
Highest ever DRAM revenue.
Applied’s outlook for 2022 and beyond looks impressive due to positive global trends:
Digital transformation of the economy built upon semiconductors.
Capital intensity to remain at levels never seen before.
Semi and equipment markets growing structurally larger.
Wafer Front-End Equipment (WFE) market to grow by over 15% to $100 billion in 2022.
Foundry-Logic made up more than 60% of total WFE investments.
Within WFE, Foundry-Logic spending growing faster than memory.
Long way to go before supply catches with demand.
Demand for semiconductors stronger and broader.
WFE spending limited by supply in 2021 with unmet demand pushed to 2022 and beyond.
Source: Applied Materials Earnings, Counterpoint Research Semi Equipment Tracker
Applied’s PPACt framework for describing the semiconductor industry’s future technology roadmap is enabled by
New architectures: ASIC, accelerator, in-memory compute
New materials: Gate, contact, interconnect
New structures/3D: GAA transistors, 3D DRAM, 3D NAND
New ways to shrink: Materials enabled patterning, EUV enablement, 3D patterning control
Key technology inflections that make up the PPACt playbook are primarily enabled by materials engineering, Applied’s core strength.
Applied’s relentless focus to develop differentiated technology to enable these inflections will help capture a majority of the total available market.
Q1 2022 Highlights:
Strategic investment in state-of-the-art logistics service center in Austin, Texas to expand manufacturing capacity.
Significant wins in areas not served in the past:
Received record multiple new tool orders in Etch business at advanced nodes in Foundry-Logic across all three leading-edge customers.
Secured a new strategic penetration for R&D acceleration using Aix– Actionable Insight Accelerator platform at a leading customer.
Received first wins with a new carbon hard mask deposition and etch solution at a leading memory manufacturer.
These wins to accelerate R&D and technology transfer and ramp up, optimize productivity in high-volume manufacturing, resulting in significant revenue growth going ahead.
Near-term challenges persist in realizing strength in the business due to supply constraints. However, a clear indication of future growth potential visible as execution against product roadmap is good.
Applied’s Key Performance Metrics for Q1 2022: Record backlog
Delivered highest ever quarterly revenues of $6.27 billion, up 21% YoY.
Gross margin at 47.2%.
EPS at $1.89.
Record $2.66 billion in cash from operations.
China contributed to 32% of net sales revenue.
Trailing 12-month revenues up 68% YoY in Inspection and Metrology business.
eBeam revenues doubled in the same period.
Increased tools under comprehensive service agreement by 13% YoY.
Subscription renewal rate at 92%.
Semi Systems backlog increased by more than $1.8 billion to a record $8 billion.
Average tenure of long-term service agreements up from 1.9 years to 2.3 years.
Segment-wise Details: Share of Semiconductor Systems Growing Fast
Applied Materials’ operates in three reportable segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets
Source: Applied Materials Earnings, Counterpoint Research Semi Equipment Tracker
Semiconductor Systems: $4.567 Billion
Includes semiconductor capital equipment for etch, deposition, chemical mechanical planarization, metrology and inspection, wafer packaging, and ion implantation.
Record revenue at $4.567 billion, +29% YoY
Operating margin at 38.8%, +280bps YoY
Foundry, logic contribution at 60%, followed by DRAM at 25% and Flash memory at 15%
Semiconductor systems clocked record revenue due to strong customer pull for co-optimized and integrated solutions.
Applied Global Services: $1.32 Billion
This segment provides integrated solutions to optimize equipment and fab performance, including spares, upgrades, services and factory automation software for semiconductors and other products.
Revenue at $1.32 billion, +14% YoY
Operating margin at 30.5%, +110 bps YoY
67% of backlog in subscriptions with 1-3-year terms
Display and Adjacent Markets: $366 Million
This segment includes products for manufacturing liquid crystal displays (LCDs), organic light-emitting diodes (OLEDs), equipment upgrades and other display technologies for consumer-oriented devices.
Revenue above guidance
Operating margin at 20.8%, +280 bps YoY
Demand for OLED expanding beyond smartphones into computing and TV to fuel growth in the long term.
Q2 2022 Guidance:
Adoption of fully integrated solutions to generate additional $600 million of revenue.
Net sales revenue to be approximately between $6.05 billion and $6.65 billion.
EPS to be in the range of $1.75 – $ 2.05.
Operating expenses to be $1.02 billion.
Decline in gross margin to 47% due to absorption of near-term cost pressures primarily related to expediting of shipments to customers.
Expect strong growth in optical wafer inspection combined with the extension of eBeam leadership.
Adoption of co-optimized and fully integrated solutions to generate incremental $600 million of revenue in 2022.
Combined software business in AGS and semiconductor systems to generate more than $300 million of revenue in 2022.
Segment-wise Revenue Guidance
Semiconductor Systems at $4.6 billion.
Applied Global Supplies at $1.35 billion.
Display and Adjacent Markets at $380 million.
Development in the Quarter:
Expansion of advanced packaging development ecosystem for hybrid bonding to accelerate the development of building blocks of heterogeneous integration with the provision of a complete suite of tools and technologies.
Definition of fab performance to include sustainability with a focus on minimizing energy consumption, environmental impact of chemical use and footprint intensity.
Challenges:
Availability of certain silicon components going into subsystems within the products hampered due to supply chain disruptions.
Priority to manage supply chain constraints through
Partnership with suppliers and chipmakers to find solutions and eliminate bottlenecks.
Investment in global infrastructure.
Building stronger supply chain.
Collaborating differently.
Key Takeaways:
Applied Materials recorded all-time-high quarterly revenues thanks to a large and growing installed base of manufacturing systems, and subscription model gaining ground.
A broad and differentiated technology portfolio puts Applied in a great position to capture a large portion of served markets in years to come.
Major technology inflections that make up the industry’s PPACt roadmap expand Applied’s addressable market opportunities.
Customers providing longer-term visibility and collaborating more closely on capacity planning have positive implications for Applied’s business.
Efforts to shorten ramp times, improve device performance and yield, and optimize factory output and operating costs will enable Applied Materials to meet its long-term forecast.
In order to access
Counterpoint Technology Market Research Limited (Company or We hereafter) Web sites, you may be asked to complete a registration form. You are required to provide contact information which is used to enhance the user experience and determine whether you are a paid subscriber or not.
Personal Information
When you register on we ask you for personal information. We use this information to provide you with the best advice and highest-quality service as well as with offers that we think are relevant to you. We may also contact you regarding a Web site problem or other customer service-related issues. We do not sell, share or rent personal information about you collected on Company Web sites.
How to unsubscribe and Termination
You may request to terminate your account or unsubscribe to any email subscriptions or mailing lists at any time.
In accessing and using this Website, User agrees to comply with all applicable laws and agrees not to take any action that would compromise the security or viability of this Website. The Company may terminate User’s access to this Website at any time for any reason. The terms hereunder regarding Accuracy of Information and Third Party Rights shall survive termination.
Website Content and Copyright
This Website is the property of Counterpoint and is protected by international copyright law and conventions. We grant users the right to access and use the Website, so long as such use is for internal information purposes, and User does not alter, copy, disseminate, redistribute or republish any content or feature of this Website. User acknowledges that access to and use of this Website is subject to these TERMS OF USE and any expanded access or use must be approved in writing by the Company.
– Passwords are for user’s individual use
– Passwords may not be shared with others
– Users may not store documents in shared folders.
– Users may not redistribute documents to non-users unless otherwise stated in their contract terms.
Changes or Updates to the Website
The Company reserves the right to change, update or discontinue any aspect of this Website at any time without notice. Your continued use of the Website after any such change constitutes your agreement to these TERMS OF USE, as modified.
Accuracy of Information:
While the information contained on this Website has been obtained from sources believed to be reliable, We disclaims all warranties as to the accuracy, completeness or adequacy of such information. User assumes sole responsibility for the use it makes of this Website to achieve his/her intended results.
Third Party Links:
This Website may contain links to other third party websites, which are provided as additional resources for the convenience of Users. We do not endorse, sponsor or accept any responsibility for these third party websites, User agrees to direct any concerns relating to these third party websites to the relevant website administrator.
Cookies and Tracking
We may monitor how you use our Web sites. It is used solely for purposes of enabling us to provide you with a personalized Web site experience.
This data may also be used in the aggregate, to identify appropriate product offerings and subscription plans. Cookies may be set in order to identify you and determine your access privileges. Cookies are simply identifiers. You have the ability to delete cookie files from your hard disk drive.